CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 二进制 到BCD 转换

搜索资源列表

  1. VHDL范例

    1下载:
  2. 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:42884
    • 提供者:kerty
  1. BCD

    0下载:
  2. 生成BCD码。 Name: BIN3toBCD4 Func:2字节二进制整数--->>BCD码四字节转换(Comped BCD) Input: 3进制数人低字节到高字节存放在内部RAM50H,51H,52h单元中 Output: BCD码人低位到高位分别存放在内部RAM53H,54H,55H,56H单元中 USE: R7-R0, 56H-50H-generation BCD. Name : BIN3toBCD4 Func : 2-byte binary integers -- gt;
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:988
    • 提供者:高业恒
  1. code_transition

    0下载:
  2. 此文件包括各种编码转换程序,比如:二进制到BCD 码转换、二进制到ASCII码转换
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:556426
    • 提供者:Shaban
  1. xianshi

    0下载:
  2. 利用查表程序可以完成BCD与七段码的转换,从而取代硬件七段译码电路,查表程序本身并无复杂之处, 需要注意的是七段码的取值,因为七段数码管有共阳极及共阴极之分. 共阳极是低电平有效时有效输入。 共阴极是高电平时有效输入(所以在C51单片机要使发光二极管点亮, 数码管是共阳极的就要让I/O口的电位变为低电位.如果是共阴极的就是合I/O口的电位变为高电位), 因些不同的器件会有不同的数码值。 另外引脚信号与码位的对应关系也会影响码值, 即引脚可以由高到低排列(7-1),
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-16
    • 文件大小:591
    • 提供者:
  1. 二进制到BCD码转换

    0下载:
  2. 实现BCD码到十进码的转换更多的是那呢感刚的个地方个撒啊 -achieve BCD to 10 yards into the conversion is more then just the flu? Local-spreading ah
  3. 所属分类:RichEdit

    • 发布日期:2008-10-13
    • 文件大小:869
    • 提供者:wang
  1. DataCode

    0下载:
  2. 数据代码转换,包括二进制到BCD码的转换,BCD码到二进制的转换,等等。-Data code conversion, including the binary to BCD Code Conversion, BCD code to binary conversion, and so on.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:1913
    • 提供者:余希
  1. binarytobcd

    0下载:
  2. 实现二进制到BCD的转换,相关算法可参考相关文档资料-convert binary number to BCD
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:3311
    • 提供者:CoCo
  1. Bin2Grey

    0下载:
  2. 一个用Verilog语言实现的二进制码到BCD码的一种转换方法的实现。包含工程文件和实现文档。-Verilog language implementation with a binary code to BCD code conversion method as a realization. And the achievement of the document contains the project file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:82489
    • 提供者:文闯
  1. vhdl

    1下载:
  2. 用VHDL语言实现的二进制到BCD码和格雷码的转换,程序通读性比较好。-VHDL language with the binary code and Gray code to BCD conversion, the program read through is better.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1142
    • 提供者:周波
  1. Convert-binary-to-BCD

    0下载:
  2. 计算机中的数值有各种表达方式,这是计算机的基础。掌握各种数制之间的转换是一种基本功。二进制到BCD转换-There are a variety of computer numerical expression, which is the basis for the computer. Of various kinds of number system conversion between a basic skills. Convert binary to BCD
  3. 所属分类:assembly language

    • 发布日期:2017-03-23
    • 文件大小:5379
    • 提供者:范志豪
  1. convertor

    0下载:
  2. vhdl语言编写的,在QuartusII下,组合逻辑电路设计(4位二进制码到BCD码的转换器)的设计,经验证无错误-Four BCD binary switch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-07
    • 文件大小:259400
    • 提供者:李晶盈
  1. binary-to-BCD-code-converter

    0下载:
  2. 4位二进制到BCD码转换器 经验证没有错误 在quartus 9.0 的环境下运行 -The four binary to BCD code converter proven there are no errors in the running quartus 9.0 environment
  3. 所属分类:SCM

    • 发布日期:2017-11-01
    • 文件大小:204081
    • 提供者:唐晓敏
  1. lqz2

    0下载:
  2. 这个程序是4位BCD码到二进制码的转换器-4 BCD code to binary code converter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6882196
    • 提供者:李求知
  1. BCD

    0下载:
  2. 4位BCD码到二进制码的转换器 BCD 码亦称“8421码”即将十进制的数以 8421 的形式展开,十进制是 0~9十个数组成,这十个数每个数都有自己的 8421 码。一个 4 位BCD码转换为BCD 码,结果采用 5 位 LED 显示。由于4位BCD码需要16位零一信号输入和多位输出,故没有硬件测试,只有软件仿真。-4 BCD code to binary code converter BCD code known as " 8421 yards" is about th
  3. 所属分类:Driver Develop

    • 发布日期:2017-03-27
    • 文件大小:685
    • 提供者:fdf
  1. t1_bin2bcd

    0下载:
  2. 二进制转BCD的verilog程序,实现二进制数到BCD的转换,该程序具有节约FPGA的内部逻辑资源等特点- Binary to BCD s verilog procedures to achieve binary number to BCD conversion, the program has an inter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:458377
    • 提供者:宋国志
  1. dance-box

    0下载:
  2. 利用FPGA实现的跳舞机,有VGA的模块,二进制到BCD转换的模块等-Using FPGA to achieve Dance Dance Revolution, have VGA module binary to BCD conversion modules, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-17
    • 文件大小:26540506
    • 提供者:
  1. bcd_add_1bit

    0下载:
  2. 二进制码到BCD码的转换 1位BCD加法器 2位BCD加法器-BCD code to binary code conversion of a BCD adder two BCD adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:228711
    • 提供者:maomaoyu
  1. Fibonacci

    0下载:
  2. (1) clkdiv 模块:对50MHz 系统时钟 进行分频,分别得到190Hz,3Hz 信号。190Hz 信号用于动态扫描模块位选信号,3Hz 信号用于fib 模块。 (2) fib 模块:依据实验原理所述Fibonacci 数列原理,用VHDL 语言实现数列 (3) binbcd14:实现二进制码到BCD 码的转换,用于数码管显示。 (4) x7segbc:采用动态扫描,使用4 位数码管依次显示Fibonacci 数列数据。 实验采用3Hz 频率来产生Fibonacci
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:667644
    • 提供者:panda
  1. bcd

    0下载:
  2. 可以实现任意二进制码到BCD码的转换电路(Can achieve any binary code to BCD code conversion circuit)
  3. 所属分类:其他

    • 发布日期:2018-01-06
    • 文件大小:1024
    • 提供者:lingtian
  1. Verilog源代码

    0下载:
  2. 多种基本功能的Verilog代码实现,包括多路选择器,二进制到BCD码转换,二进制到格雷码转换,7段译码器,8位数据锁存器,移位寄存器等等多种功能。(Verilog code implementation of a variety of basic functions, including multiplexer, binary to BCD code conversion, binary to Gray code conversion, 7-segment decoder, 8-bit dat
  3. 所属分类:汇编语言

    • 发布日期:2020-07-31
    • 文件大小:18432
    • 提供者:MMK1
« 12 »
搜珍网 www.dssz.com